How to Generate Multicycle Path Constraints in HDL Coder

alavy110
منتشر شده در 27 فروردین 1397

In designs with multiple sample rates that become multiple clocks in HDL, clock domain crossing can lead to timing violations in RTL synthesis. Discover how multicycle path constraints generated automatically from HDL Coder™ can help eliminate these violations, without design changes.


Learn more about HDL Coder: https://goo.gl/vqxP3r

Get a Free Trial: https://goo.gl/ZHFb5u


You will learn:


• What a clock period in digital hardware is

• What a critical path is and how it is measured

• Strategies to address critical path timing violations

• Cases where you can use multicycle path constraints

• How to automatically generate multicycle path constraints in HDL Coder


© 2018 The MathWorks, Inc. MATLAB and Simulink are registered

trademarks of The MathWorks, Inc. See www.mathworks.com/trademarks

for a list of additional trademarks. Other product or brand names may be trademarks or registered trademarks of their respective holders.

دیدگاه کاربران