آموزش VHDL-قسمت دهم: ارتباط با حافظه SRAM

گروه توسعه FPGA پازج
منتشر شده در 16 اسفند 1397

قسمت دهم آموزش VHDL


در قسمت دهم آموزش FPGA به زبان VHDL به ساده ترین شکل ممکن حافظه sram را راه اندازی کرده و عملیات read و write را انجام میدهیم.


ارتباط FPGA با حافظه SRAM با سلام خدمت همه دوستداران طراحی دیجیتال با FPGA و به خصوص همراهان پازج چندی پیش در پستی با عنوان "یک SRAM Controller ساده و کارآمد جهت خواندن و نوشتن در SRAM" به نحوه خواندن و نوشتن در SRAM با یک SRAM Controller پرداختیم.


این آموزش نیز مبتنی بر برد FPGA پازج تهیه شده است. برای دریافت فایل سورس مطالب ارائه شده در این مطلب به لینک زیر مراجعه کنید:


## آموزش VHDL – قسمت دهم: ارتباط FPGA با حافظه SRAM

دیدگاه کاربران